在日常的學習、工作、生活中,肯定對各類范文都很熟悉吧。那么我們該如何寫一篇較為完美的范文呢?下面我給大家整理了一些優(yōu)秀范文,希望能夠幫助到大家,我們一起來看一看吧。
eda多功能數(shù)字鐘 eda電子鐘篇一
設計題目:用vhdl語言實現(xiàn)數(shù)字鐘的設計
班 級:電子1002班 學 號:20102625 姓 名:于曉 指導教師:李世平、李寧 設計時間:2012年12月
摘要
數(shù)字鐘是一種用數(shù)字電路技術實現(xiàn)時、分、秒計時的鐘表。本設計主要是實現(xiàn)數(shù)字鐘的功能,程序用vhdl語言編寫,整體采用top-to-down設計思路,具有基本的顯示年月日時分秒和星期的功能,此外還有整點報時功能。該數(shù)字鐘的實現(xiàn)程序分為頂層模塊、年月模塊、日模塊、時分秒定時模塊、數(shù)碼管顯示模塊、分頻模塊、星期模塊,此外還有一個庫。該程序主要是用了元件例化的方法,此外還有進程等重要語句。
沒有脈沖時,顯示時分秒,set按鈕產(chǎn)生第一個脈沖時,顯示年月日,第2個脈沖到來時可預置年份,第3個脈沖到來時可預置月份,依次第4、5、6、7、8個脈沖到來時分別可預置日期、時、分、秒、星期,第 9個脈沖到來時設置星期后預置結束,正常工作,顯示的是時分秒和星期。調(diào)整設置通過up來控制,up為高電平,upclk有脈沖到達時,預置位加1,否則減1。當整點到達時,報時器會鳴響,然后手動按鍵停止報時。
關鍵詞:數(shù)字鐘,vhdl,元件例化,數(shù)碼管
1、課程設計目的
掌握利用可編程邏輯器件和eda設計工具進行電子系統(tǒng)設計的方法
2、課程設計內(nèi)容及要求
設計實現(xiàn)一個具有帶預置數(shù)的數(shù)字鐘,具有顯示年月日時分秒的功能。用6個數(shù)碼管顯示時分秒,set按鈕產(chǎn)生第一個脈沖時,顯示切換年月日,第2個脈沖到來時可預置年份,第3個脈沖到來時可預置月份,依次第4、5、6、7個脈沖到來時分別可預置日期、時、分、秒,第 8個脈沖到來后預置結束,正常工作,顯示的是時分秒。up為高電平時,upclk有脈沖到達時,預置位加1.否則減1,還可以在此基礎上增加其它功能。
3、vhdl程序設計
3.1整體設計思路
本設計采用top-down 模式設計,分模塊進行,各功能都使用元件例化方式設計,主要有l(wèi)ed顯示模塊、時分秒定時模塊、日期模塊、年月模塊、分頻模塊、星期模塊,此外還創(chuàng)建了一個程序包,用來實現(xiàn)年月日、時分秒的加減調(diào)整。主要運用了過程語句、元件例化語句、信號賦值語句、和順序語句
圖3-1-1 整體結構圖
圖3-1-2 頂層模塊引腳圖
3.2各模塊設計思路
3.2.1 普通計數(shù)器(時、分、秒、月、年計數(shù)器)設計
時鐘模塊通過調(diào)用程序包的時分秒加減過程語句實現(xiàn)兩個六十進制,一個二十四進制,秒的進位信號作為分的計數(shù)時鐘信號,分的進位信號作為時的時鐘信號。時的進位信號通過管腳映射到日期模塊的計數(shù)時鐘信號。
定時功能在時分秒模塊中,是由分計數(shù)器在到達59時產(chǎn)生一個脈沖,讓speaker產(chǎn)生高電位鳴響。
年月模塊主要實現(xiàn)月份的十二進制計數(shù)器,和100進制的年份計數(shù)器。月份的計數(shù)信號由日期模塊的進位信號傳遞過來,年份的時鐘信號由月份的進位信號產(chǎn)生。
圖3-2-1 時分秒引腳圖 圖3-2-2 年月引腳圖 3.2.2 可變進制計數(shù)器(天計數(shù)器)模塊設計
不同月中的天的數(shù)量是不同的,例如“大月”就有31“天”,“小月”有30“天”,平年“二月”有28“天”,而閏年“二月”有29“天”。所以天計數(shù)器應該具備進制可變的性能。日期模塊主要分為三個部分,預置日期加,預置日期減和產(chǎn)生進位信號,使月份增加。平閏年的判斷是通過年月模塊傳輸過來年份信號(兩個4位的bcd碼),如果高位的信號為“xxx0”且低位的信號為“xx00”(如20,84等),或高位為“xxx1”且低位為“xx10”(如32等)則判斷為閏年。這種方法的包含了一百年中的所有閏年的情況。然后判斷大月小月可以判斷月份來確定30進制還是31進制。進位信號也是分為大月、小月、平年閏年來確定是否產(chǎn)生。
圖3-2-3 日模塊引腳圖
3.2.3 led顯示模塊
主要通過接受setpin的控制信號來選擇顯示的內(nèi)容,把不同的信號賦給輸出的端口,從而實現(xiàn)時分秒,年月日的切換。3.2.4 星期模塊
通過七進制計數(shù)器實現(xiàn),同時帶有預置的功能,不能同年月調(diào)整聯(lián)動,但是能單獨調(diào)整。
圖3-2-4 星期模塊引腳圖
4、仿真與分析
4.1 日模塊
4.1.1 年份為2000年,月份為2月,有29天,初值設為2000年2月28日,仿真中日為:28、29、1、2、?
4.1.2 年份為1999年,月份為2月,有28天,初值設為1999年2月28日,仿真中日為:28、1、2、?
4.1.3 年份為2000年,月份為3月,有31天,初值設為2000年3月30日,仿真中日為:30、31、1、2、?
4.1.4 年份為2000年,月份為4月,有30天,初值設為2000年4月30日,仿真中日為:30、1、2、?
4.2 年月模塊
初值設為1999年12月,lock為1時,顯示年月,lock為3時,預置月,lock為2時,預置年
4.3 時分秒定時模塊
lock為0時,顯示時分秒,lock為5時,預置時,lock為6時,預置分,lock為7時,預置秒。當分到達59時,整點報時器響,speaker高電位,隨著手動清零,恢復原位。
4.4 星期模塊
初值設為星期1,仿真中顯示為:1、2、3、4、5、6、7、1、?
4.5 分頻模塊
4.6 頂層設計模塊
5、課程設計總結
本次課程設計歷時兩天半,經(jīng)過自己不斷的努力完成了數(shù)字鐘的設計,程序代碼的編寫調(diào)試及仿真。以前只是看書或者編一些很小的程序用來仿真,覺得沒怎么難,但當進行此次課程設計真正處理一個較大程序時,問題便都顯現(xiàn)出來。雖然在這個過程中遇到了很多的問題,但是最終都得到了很好的解決。
我此次設計的程序是在課本原有數(shù)字鐘程序的基礎上進行添加更改得來的,最初在運行原有程序時很順利,但是隨著加的東西越來越多,程序中出現(xiàn)的問題也就越來越多。很多同學都覺得在已有程序上再添加東西看似簡單,實則很容易混亂,理不清頭緒,而且這個原有程序是用進程所寫,比較麻煩。雖然這樣容易出現(xiàn)問題,不過我覺得這是一個鍛煉的好機會。、在處理分頻模塊時,最開始按照老師的要求設置了頻率,但是當運行時,發(fā)現(xiàn)根本出不來,后來與同學討論后,發(fā)現(xiàn)頻率過大,后來改為八分頻,使得分頻
模塊能夠使用。在一開始加星期模塊時,沒怎么考慮,可是當加進去后才發(fā)現(xiàn),星期模塊不能與其他模塊很好的相連,不能很好的做到與“日模塊”相合,后來雖有改動,但最終沒能改成功。在加定時器功能時,一開始單獨為定時器列了一個模塊,所寫的程序也很復雜,錯誤百出,最后程序改好后,仿真卻出不來。后來經(jīng)過同學的提點,就把程序改簡單了,單純的來個脈沖就出現(xiàn)高電平,但后來仿真發(fā)現(xiàn)高電平一直在高位,沒法給脈沖,最后沒辦法便手動脈沖。與頂層模塊連接后,又發(fā)現(xiàn)分滿59的脈沖沒給,因為我的時分秒全都放在了一起,只能將定時模塊挪到時分秒模塊中,這樣反而使得整個工程簡單了一些。
在各個模塊都能仿真成功后,頂層模塊的程序與仿真卻出現(xiàn)了很多問題。首先是頂層模塊程序有很多警告,例如“second_waver”沒有用到之類的,后來在改動的過程中,便把內(nèi)變量換為了外變量,但是有些原來的警告沒有了,但是新的警告又出現(xiàn)了,原本能夠連好的u3與u4 模塊均不能正常連接,后來與同學自習查找,才終于將錯誤找出,由于粗心大意誤動了一些元件例化時的變量,使得時間拜拜浪費。最后在仿真的時候,仿真結果出不來,經(jīng)過與同學商量在每個程序中都給年月日等變量均付了初值,才讓仿真出來。
此次課程設計雖然只有短短的兩天半的時間,但是經(jīng)過前期的查找資料,后來的實驗室實際操作,再到現(xiàn)在的報告總結,我收獲了很多。其實完成一個設計,編程只是很小的一部分,最主要的在于查找資料以及調(diào)試程序,此次設計我在查找資料方面做的不是很充分,以至于設計的面很小,而且在遇到問題后不能很快的找出,以后一定要做好準備工作。此次課程設計中遇到的問題看似不大,但都是很好的問題,對我以后的設計有很大的幫助,一定會牢牢記住。
最后,此次課程設計的完成很大程度上取決于老師和同學對我的指導與幫助,這更能說明,一個較大設計的完成及實現(xiàn),不是僅限于自身,我們要學會與別人交流溝通,才能做到更好。
6、參考文獻
[1]李景華,杜玉遠.可編程邏輯器件與eda技術.沈陽:東北大學出版社,2000 [2] 姜如東,vhdl語言程序設計及應用,北京郵電大學出版社
[3] 康華光.電子技術基礎(數(shù)字部分)[m].北 京:高等教育出版社,2001.
[4] [5]
eda多功能數(shù)字鐘 eda電子鐘篇二
library ieee;use ;use ;use ;entity clock is port(en
:in std_logic;數(shù)碼管使能
clk
:in std_logic;時鐘信號
rst
:in std_logic;復位信號
sec_1
:out std_logic_vector(3 downto 0);秒高位
sec_01 :out std_logic_vector(3 downto 0);秒低位
min_1
:out std_logic_vector(3 downto 0);分高位
min_01 :out std_logic_vector(3 downto 0);分低位
hou_1
:out std_logic_vector(3 downto 0);時高位
hou_01 :out std_logic_vector(3 downto 0);時低位
bee
:out std_logic);end clock;
architecture behovior of clock is signal sec_high:std_logic_vector(3 downto 0);
signal sec_low
:std_logic_vector(3 downto 0);signal min_high:std_logic_vector(3 downto 0);
signal min_low:std_logic_vector(3 downto 0);signal hou_high:std_logic_vector(3 downto 0);
signal hou_low
:std_logic_vector(3 downto 0);
signal cy_min
:std_logic;分進位
signal cy_hou
:std_logic;時進位
signal logo_1
:std_logic;標志
signal logo_2
:std_logic;
signal logo_3
:std_logic;
begin miaolow:process(clk,rst,en)
begin
if(rst = '0')
then
sec_low <= “1000”;附給秒低位為8
elsif(clk'event and clk = '1' and en = '1')then 檢測時鐘上升沿及數(shù)碼管使能端
if(sec_low = “1001”)then
sec_low <= “0000”;
else
sec_low <= sec_low + “0001”;加一
end if;
end if;
end process miaolow;
logo_1 <= sec_low(3)and sec_low(0);
sec_01<= sec_low;秒個位放8
miaohigh:process(clk,rst)
begin
if(rst = '0')
then
sec_high <= “0101”;
elsif(clk'event and clk = '1')then檢測時鐘上升沿
if(logo_1 = '1')then
if(sec_high = “0101”)then
sec_high <= “0000”;
cy_min <= '1';
else
sec_high <= sec_high + “0001”;加一
cy_min <= '0';
end if;
end if;
end if;
end process miaohigh;
sec_1 <= sec_high;秒十位放5
fenlow:process(cy_min,rst,en)
begin
if(rst = '0')
then 若復位位為0
min_low <= “1000”;則分個位為8
elsif(cy_min'event and cy_min = '1' and en = '1')then檢測時鐘上升沿及數(shù)碼管使能端
if(min_low = “1001”)then
min_low <= “0000”;
else
min_low <= min_low + “0001”;加一
end if;
end if;
end process fenlow;
logo_2 <= min_low(3)and min_low(0);
min_01 <= min_low;分個位放8
fenhigh:process(cy_min,rst)
begin
if(rst = '0')
then
min_high <= “0101”;
elsif(cy_min'event and cy_min = '1')then檢測分進位上升沿
if(logo_2 = '1')then
if(min_high = “0101”)then若分十位為5
min_high <= “0000”;
cy_hou <= '1';時進位為1
else
min_high <= min_high + “0001”;加一
cy_hou <= '0';
end if;
end if;
end if;
end process fenhigh;
min_1 <= min_high;分十位放5
shilow:process(cy_hou,rst,en)
begin
if(rst = '0')
then
hou_low <= “1001”;
elsif(cy_hou'event and cy_hou = '1'
and en = '1')then檢測時進位上升沿及數(shù)碼管使能端
if(hou_low = “1001”)then若時低位為9
hou_low <= “0000”;
elsif(hou_high = “0010” and hou_low = “0011”)then若時十位為2,個位為3
hou_low <= “0000”;
else
hou_low <= hou_low + “0001”;加一
end if;
end if;
end process shilow;
logo_3 <= hou_low(3)and hou_low(0);
hou_01 <= hou_low;時個位放3
shihigh:process(cy_hou,rst)
begin
if(rst = '0')
then
hou_high <= “0001”;
elsif(cy_hou'event and cy_hou = '1')then檢測時進位上升沿
if(hou_high = “0010” and hou_low = “0011”)then若時十位為2,時個位為3
hou_high <= “0000”;
elsif(logo_3 = '1')then
hou_high <= hou_high + “0001”;加一
end if;
end if;
end process shihigh;
bee_clock:process(clk)
begin
if(clk'event and clk = '1')then檢測時鐘上升沿
if(sec_high = “0101” and sec_low = “1001”
and min_high = “0101” and min_low = “1001”)then
bee <= '1';
else
bee <= '0';
end if;
end if;
end process bee_clock;
hou_1 <= hou_high;時十位放2
end behovior;
library ieee;use ;use ;use ;entity clock1 is port(en
:in std_logic;
clk
:in std_logic;
rst
:in std_logic;sec_1
:out std_logic_vector(3 downto 0);
sec_01 :out std_logic_vector(3 downto 0);min_1
:out std_logic_vector(3 downto 0);
min_01 :out std_logic_vector(3 downto 0);hou_1
:out std_logic_vector(3 downto 0);
hou_01 :out std_logic_vector(3 downto 0);
bee
:out std_logic);end clock1;
architecture behovior of clock1 is signal sec_high:std_logic_vector(3 downto 0);
signal sec_low
:std_logic_vector(3 downto 0);signal min_high:std_logic_vector(3 downto 0);
signal min_low:std_logic_vector(3 downto 0);signal hou_high:std_logic_vector(3 downto 0);
signal hou_low
:std_logic_vector(3 downto 0);
signal cy_min
:std_logic;
signal cy_hou
:std_logic;signal logo_1
:std_logic;
signal logo_2
:std_logic;
signal logo_3
:std_logic;
begin miaolow:process(clk,rst,en)
begin
if(rst = '0')
then
sec_low <= “1000”;
elsif(clk'event and clk = '1' and en = '1')then
if(sec_low = “1001”)then
sec_low <= “0000”;
else
sec_low <= sec_low + “0001”;
end if;
end if;
end process miaolow;
logo_1 <= sec_low(3)and sec_low(0);
sec_01<= sec_low;
miaohigh:process(clk,rst)
begin
if(rst = '0')
then
sec_high <= “0101”;
elsif(clk'event and clk = '1')then
if(logo_1 = '1')then
if(sec_high = “0101”)then
sec_high <= “0000”;
cy_min <= '1';
else
sec_high <= sec_high + “0001”;
cy_min <= '0';
end if;
end if;
end if;
end process miaohigh;
sec_1 <= sec_high;fenlow:process(cy_min,rst,en)
begin
if(rst = '0')
then
min_low <= “1000”;
elsif(cy_min'event and cy_min = '1' and en = '1')then
if(min_low = “1001”)then
min_low <= “0000”;
else
min_low <= min_low + “0001”;
end if;
end if;
end process fenlow;
logo_2 <= min_low(3)and min_low(0);
min_01 <= min_low;
fenhigh:process(cy_min,rst)
begin
if(rst = '0')
then
min_high <= “0101”;
elsif(cy_min'event and cy_min = '1')then
if(logo_2 = '1')then
if(min_high = “0101”)then
min_high <= “0000”;
cy_hou <= '1';
else
min_high <= min_high + “0001”;
cy_hou <= '0';
end if;
end if;
end if;
end process fenhigh;
min_1 <= min_high;shilow:process(cy_hou,rst,en)
begin
if(rst = '0')
then
hou_low <= “1001”;
elsif(cy_hou'event and cy_hou = '1' and en = '1')then
if(hou_low = “1001”)then
hou_low <= “0000”;
elsif(hou_high = “0010” and hou_low = “0011”)then
hou_low <= “0000”;
else
hou_low <= hou_low + “0001”;
end if;
end if;
end process shilow;
logo_3 <= hou_low(3)and hou_low(0);
hou_01 <= hou_low;
shihigh:process(cy_hou,rst)
begin
if(rst = '0')
then
hou_high <= “0001”;
elsif(cy_hou'event and cy_hou = '1')then
if(hou_high = “0010” and hou_low = “0011”)then
hou_high <= “0000”;
elsif(logo_3 = '1')then
hou_high <= hou_high + “0001”;
end if;
end if;
end process shihigh;
bee_clock:process(clk)
begin
if(clk'event and clk = '1')then
if(sec_high = “0101” and sec_low = “1001”
and min_high = “0101” and min_low = “1001”)then
bee <= '1';
else
bee <= '0';
end if;
end if;
end process bee_clock;
hou_1 <= hou_high;
end behovior;
eda多功能數(shù)字鐘 eda電子鐘篇三
[ 標簽:數(shù)字鐘, eda ]
1、設計一個能顯示1/10秒、秒、分、時的12小時數(shù)字鐘。
2、時鐘源使用頻率為0.1hz的連續(xù)脈沖。
3、設置兩個按鈕,一個供“開始”及“停止”用,一個供系統(tǒng)“復位”用。
4、時鐘顯示使用數(shù)碼管顯示。
基于vhdl的多功能數(shù)字鐘的設計 eda課程設計 資料類別課程(專業(yè))eda 適用年級大學文件格式word+dls 文件大小1725k 上傳時間2008-10-10 20:57:00 預覽文件無(只能預覽文件中的部分內(nèi)容)下載次數(shù)0內(nèi)容簡介:eda課程設計 基于vhdl的多功能數(shù)字鐘的設計,共11頁,6086字,附源程序。摘要:介紹了利用vhdl硬件描述語言設計的多功能數(shù)字鐘的思路和技巧。在max+plusii開發(fā)環(huán)境中編譯和仿真了所設計的程序,并在可編程邏輯器件上下栽驗證。仿真和驗證結果表明,該設計方法切實可行。
eda-時鐘設計-基于altera數(shù)字鐘的實
現(xiàn):eda課程設計 基于vhdl的多功能數(shù)字鐘的設計:eda數(shù)字鐘設計報告:資料包括: 論文(12頁2036字)圖紙說明:中文摘要:數(shù)字鐘學習的目的是掌握各類計數(shù)器及它們相連的設計方法;掌握多個數(shù)碼管顯示的原理與方法;掌握fpga技術的層次化設計方法;掌握用vhdl語言的設計思想以及整個數(shù)字系統(tǒng)的設計。此數(shù)字鐘設計具有時,分,秒計數(shù)顯示功能,以24小時為計數(shù)循環(huán);能實現(xiàn)清零,調(diào)節(jié)小時,分鐘以及整點報時的功能。
eda多功能數(shù)字鐘 eda電子鐘篇四
eda實現(xiàn)多功能數(shù)字鐘
實
驗 報 告
專業(yè)班級:
學生姓名:
學生學號:
目錄
一、內(nèi)容摘要
二、實驗要求
三、各底層模塊設計
四、總體方案
五、心得體會
一、實驗內(nèi)容
利用 quartusii 軟件,結合所學的數(shù)字電路的知識設計一個 24 時多功能數(shù) 字鐘,具有正常分、秒計時,動態(tài)顯示的功能。分析整個電路的工作原理,分別說明各子模塊的設計原理和調(diào)試、仿真、編 程的過程。
二、實驗任務:
用 fpga 器件和 eda 技術實現(xiàn)多功能數(shù)字鐘的設計
已知條件:
1、max+plus 軟件
2、fpga 實驗開發(fā)裝置
基本功能:
1、以數(shù)字形式顯示時、分、秒的時間;
2、小時計數(shù)器為 24 進制;
3、分、秒計數(shù)器為 60 進制。
三、底層模塊設計(電路原理圖及仿真)
1、小時計數(shù)器為24進制 電路原理圖
仿真圖
封裝圖
2、分、秒計時器都為60進制 電路原理圖
仿真圖
封裝圖
四、總體方案
按照上述實驗要求,本次電子數(shù)字時鐘實驗,通過兩個模 60 計數(shù)器及一個模 24 計數(shù)器級聯(lián)既可以實現(xiàn)計時模塊。多功能數(shù)字鐘的主體部分 電路原理圖
仿真圖
封裝圖
五、心得體會
剛剛開始覺得做這個電子實驗報告挺難的,因為對軟件的不熟悉和對這個實驗操作的也不熟悉,對著老師給的資料也做了很長時間,就是仿真的時候有些該注意的沒有注意,導致仿真失敗,但是后來還是自己慢慢拿的請教同學、老師哪里出了問題,后來才做出來了,把60進制的做出來了,后來的24進制按照老師給的電路原理圖也成功了仿真出來,我用了很長時間才編寫出來,現(xiàn)在看看,也沒有那么難了。同時請教老師,和同學、通過實驗掌握一些邏輯組合器件的基本功能和用法??傊液芨兄x這次實驗可以給我這樣的機會,這個實驗給了我很對的收獲,我相信這會對我以后的學習很有幫助。
eda多功能數(shù)字鐘 eda電子鐘篇五
數(shù)字鐘
一、實驗目的
1、掌握多位計數(shù)器相連的設計方法。
2、掌握十進制,六進制,二十四進制計數(shù)器的設計方法。
3、掌握揚聲器的驅動及報時的設計。
4、led燈的花樣顯示。
5、掌握cpld技術的層次化設計方法。
二、實驗器材
1、主芯片altera epf10k10lc84-4。2、8個led燈。
3、揚聲器。4、4位數(shù)碼顯示管。5、8個按鍵開關(清零,調(diào)小時,調(diào)分鐘)。
三、實驗內(nèi)容
根據(jù)電路特點,運用層次設計概念設計。將此設計任務分成若干模塊,規(guī)定每一模塊的功能和各模塊之間的接口。
1、時計時程序: library ieee;use ;use ;
entity hour is
port(reset,clk : in std_logic;
daout : out std_logic_vector(7 downto 0));end hour;
architecture behav of hour is
signal count : std_logic_vector(3 downto 0);signal counter : std_logic_vector(3 downto 0);begin
p1: process(reset,clk)
begin
if reset='0' then
count<=“0000”;
counter<=“0000”;
elsif(clk'event and clk='1')then
if(counter<2)then
if(count=9)then
count<=“0000”;
counter<=counter + 1;
else
count<=count+1;
end if;
else
if(count=3)
then
counter<=“0000”;
else
count<=count+1;
count<=“0000”;
end if;
end if;
end if;
end process;
daout(7 downto 4)<=counter;daout(3 downto 0)<=count;
end behav;
2、分計時程序: library ieee;
use ;use ;
entity minute is
port(reset,clk,sethour: in std_logic;
daout : out std_logic_vector(7 downto 0);
enhour : out std_logic);end minute;
architecture behav of minute is
signal count : std_logic_vector(3 downto 0);signal counter : std_logic_vector(3 downto 0);signal carry_out1 : std_logic;signal carry_out2 : std_logic;begin
p1: process(reset,clk)begin
if reset='0' then
count<=“0000”;
counter<=“0000”;
elsif(clk'event and clk='1')then
if(counter<5)then
if(count=9)then
count<=“0000”;
counter<=counter + 1;
else
count<=count+1;
end if;
carry_out1<='0';
else
if(count=9)then
count<=“0000”;
counter<=“0000”;
carry_out1<='1';
else
count<=count+1;
carry_out1<='0';
end if;
end if;end if;end process;
p2: process(clk)begin
if(clk'event and clk='0')then
if(counter=0)then
if(count=0)then
carry_out2<='0';
end if;
else
carry_out2<='1';
end if;end if;end process;
daout(7 downto 4)<=counter;daout(3 downto 0)<=count;enhour<=(carry_out1 and carry_out2)or sethour;end behav;
3、秒計時程序: library ieee;
use ;use ;
entity second is
port(reset,clk,setmin : in std_logic;
daout : out std_logic_vector(7 downto 0);
enmin : out std_logic);end second;
architecture behav of second is
signal count : std_logic_vector(3 downto 0);signal counter : std_logic_vector(3 downto 0);signal carry_out1 : std_logic;signal carry_out2 : std_logic;begin
p1: process(reset,clk)begin
if reset='0' then
count<=“0000”;
counter<=“0000”;
elsif(clk'event and clk='1')then
if(counter<5)
then
if
(count=9)
then
count<=“0000”;
counter<=counter + 1;
else
count<=count+1;
end if;
carry_out1<='0';
else
if(count=9)
then
count<=“0000”;
counter<=“0000”;
carry_out1<='1';
else
count<=count+1;
carry_out1<='0';
end if;
end if;end if;end process;daout(7 downto
4)<=counter;
daout(3
downto
0)<=count;enmin<=carry_out1 or setmin;end behav;6
4、alert程序: library ieee;
use ;use ;
entity alert is port(clkspk : in std_logic;
second : in std_logic_vector(7 downto 0);
minute : in std_logic_vector(7 downto 0);
speak : out std_logic;
lamp : out std_logic_vector(8 downto 0));end alert;
architecture behav of alert is signal spanclkspk2 : std_logic;begin p1: process(clkspk)begin
if(clkspk'event and clkspk='1')then
spanclkspk2<=not spanclkspk2;
end if;end process;p2: process(second,minute)begin if(minute=“01011001”)then case second is
when “01010001”=>lamp<=“000000001”;speak<=spanclkspk2;when “01010010”=>lamp<=“000000010”;speak<='0';when “01010011”=>lamp<=“000000100”;speak<=spanclkspk2;when “01010100”=>lamp<=“000001000”;speak<='0';when “01010101”=>lamp<=“000010000”;speak<=spanclkspk2;when “01010110”=>lamp<=“000100000”;speak<='0';when “01010111”=>lamp<=“001000000”;speak<=spanclkspk2;when “01011000”=>lamp<=“010000000”;speak<='0';when “01011001”=>lamp<=“100000000”;speak<=clkspk;when others=>lamp<=“000000000”;end case;end if;end process;end behav;8
5、seltime程序 library ieee;
use ;use ;
entity seltime is port(ckdsp : in std_logic;
reset : in std_logic;
second : in std_logic_vector(7 downto 0);
minute : in std_logic_vector(7 downto 0);
hour : in std_logic_vector(7 downto 0);
daout : out std_logic_vector(3 downto 0);
sel : out std_logic_vector(2 downto 0));end seltime;
architecture behav of seltime is signal sec : std_logic_vector(2 downto 0);begin
process(reset,ckdsp)begin
if(reset='0')then sec<=“000”;
elsif(ckdsp'event and ckdsp='1')then
sec<=“000”;else
sec<=sec+1;end if;end if;end process;
process(sec,second,minute,hour)begin case sec is
when “000”=>daout<=second(3 downto 0);when “001”=>daout<=second(7 downto 4);when “011”=>daout<=minute(3 downto 0);when “100”=>daout<=minute(7 downto 4);when “110”=>daout<=hour(3 downto 0);when “111”=>daout<=hour(7 downto 4);when others=>daout<=“1111”;end case;end process;
if(sec=“111”)then
sel<=sec;end behav;
6、deled程序: library ieee;
use ;use ;
entity deled is port(s: in std_logic_vector(3 downto 0);
a,b,c,d,e,f,g,h: out std_logic);end deled;
architecture behav of deled is
signal data:std_logic_vector(3 downto 0);signal dout:std_logic_vector(7 downto 0);begin data<=s;process(data)begin
case data is
when “0000”=>dout<=“00111111”;when “0001”=>dout<=“00000110”;when “0010”=>dout<=“01011011”;when “0011”=>dout<=“01001111”;when “0100”=>dout<=“01100110”;when “0101”=>dout<=“01101101”;when “0110”=>dout<=“01111101”;when “0111”=>dout<=“00000111”;when “1000”=>dout<=“01111111”;when “1001”=>dout<=“01101111”;when “1010”=>dout<=“01110111”;when “1011”=>dout<=“01111100”;when “1100”=>dout<=“00111001”;when “1101”=>dout<=“01011110”;when “1110”=>dout<=“01111001”;when “1111”=>dout<=“01000000”;when others=>dout<=“00000000”;end case;end process;h<=dout(7);
g<=dout(6);
f<=dout(5);
e<=dout(4);d<=dout(3);c<=dout(2);b<=dout(1);a<=dout(0);end behav;
7、頂層原理圖:
四、實驗結果 頂層原理圖仿真波形:
五、心得體會
1、系統(tǒng)設計進要行充分的方案論證,不可盲目就動手去做;
2、實驗中對每一個細節(jié)部分都要全面思考,要對特殊情況進行處理;
3、對于數(shù)字系統(tǒng),要考慮同步、異步問題;
4、數(shù)字電路的理論分析要結合時序圖;
5、遇到問題,要順藤摸瓜,分析清楚,不可胡亂改動,每做一次改變都要有充分的理由;
6、模塊化設計方法的優(yōu)點在于其簡潔性,但是在實驗設計中也發(fā)現(xiàn),在實驗最終電路確定之前,要盡量減少模塊重疊嵌套,因為在總的電路敲定之前,電路還不成熟,很多地方需要改進,如果在開始時就進行多層模塊化,里層模塊電路的修改將影響其外層的全部電路,這樣就是牽一發(fā)動全身,很顯然,這樣將導致電 數(shù)字鐘課程設計 電路設計的低效,所以在設計過程中,一定要盡量減少超過兩層的模塊;
7、遇到問題花了很長時間沒有解決掉,要學會想他人請教,別人的不經(jīng)意一點,可能就能把自己帶出思維死區(qū)。
【本文地址:http://www.aiweibaby.com/zuowen/1086906.html】